Submission #4332143


Source Code Expand

module ABC001

	! <module>s to import
	use, intrinsic :: iso_fortran_env

	! require all variables to be explicitly declared
	implicit none

	! parameters for this <module>
	integer( kind=INT16 ), parameter :: wind_direction_step = 2250

	! variables for this <module>
	integer( kind=INT16 )    :: wind_direction_val
	integer( kind=INT16 )    :: wind_run
	integer( kind=INT16 )    :: wind_force
	character(len=3, kind=1) :: wind_direction_str

	! contained <subroutine>s and <function>s are below
	contains

	subroutine ABC001_C

		! STEP.01
		! read the values of degree and dis
		read *, wind_direction_val, wind_run

		! STEP.02
		! calculate the wind force
		select case ( nint( real( wind_run, kind=REAL32 ) / 6.0e+00_REAL32, kind=INT16 ) )
			case (   0:  2 ); wind_force =  0
			case (   3: 15 ); wind_force =  1
			case (  16: 33 ); wind_force =  2
			case (  34: 54 ); wind_force =  3
			case (  55: 79 ); wind_force =  4
			case (  80:107 ); wind_force =  5
			case ( 108:138 ); wind_force =  6
			case ( 139:171 ); wind_force =  7
			case ( 172:207 ); wind_force =  8
			case ( 208:244 ); wind_force =  9
			case ( 245:284 ); wind_force = 10
			case ( 285:326 ); wind_force = 11
			case default;     wind_force = 12
		end select

		! STEP.03
		! determine the wind direction and output it
		if ( wind_force .eq. 0 ) then
			wind_direction_str = 'C'
		else
	
			! STEP.04
			! convert the value of wind direction into 0 to 15
			wind_direction_val = mod ( ( wind_direction_val * 10 + 1125 ) / 2250, 16 )
	
			! STEP.05
			! convert the value of wind direction into string
			select case ( wind_direction_val )
				case(  0 ); wind_direction_str = 'N'
				case(  1 ); wind_direction_str = 'NNE'
				case(  2 ); wind_direction_str = 'NE'
				case(  3 ); wind_direction_str = 'ENE'
				case(  4 ); wind_direction_str = 'E'
				case(  5 ); wind_direction_str = 'ESE'
				case(  6 ); wind_direction_str = 'SE'
				case(  7 ); wind_direction_str = 'SSE'
				case(  8 ); wind_direction_str = 'S'
				case(  9 ); wind_direction_str = 'SSW'
				case( 10 ); wind_direction_str = 'SW'
				case( 11 ); wind_direction_str = 'WSW'
				case( 12 ); wind_direction_str = 'W'
				case( 13 ); wind_direction_str = 'WNW'
				case( 14 ); wind_direction_str = 'NW'
				case( 15 ); wind_direction_str = 'NNW'
			end select
		end if
	
		! STEP.03
		! write the visibility
		write( unit=*, fmt='(A,1X)', advance='no'  ) trim ( wind_direction_str )
		write( unit=*, fmt='(I0)',   advance='yes' ) wind_force

		! STEP.TRUE_END
		return

	end subroutine ABC001_C

end module ABC001

program main

	! <module>s to import
	use, non_intrinsic :: ABC001

	call ABC001_C

end program main

Submission Info

Submission Time
Task C - 風力観測
User DSCF_1224
Language Fortran (gfortran v4.8.4)
Score 100
Code Size 2762 Byte
Status AC
Exec Time 1 ms
Memory 256 KB

Judge Result

Set Name all
Score / Max Score 100 / 100
Status
AC × 66
Set Name Test Cases
all 00_sample_01.txt, 00_sample_02.txt, 00_sample_03.txt, 00_sample_04.txt, 00_sample_05.txt, 00_sample_06.txt, 00_sample_07.txt, 00_sample_08.txt, test_01.txt, test_02.txt, test_03.txt, test_04.txt, test_05.txt, test_06.txt, test_07.txt, test_08.txt, test_09.txt, test_10.txt, test_11.txt, test_12.txt, test_13.txt, test_14.txt, test_15.txt, test_16.txt, test_17.txt, test_18.txt, test_19.txt, test_20.txt, test_21.txt, test_22.txt, test_23.txt, test_24.txt, test_25.txt, test_26.txt, test_27.txt, test_28.txt, test_29.txt, test_30.txt, test_31.txt, test_32.txt, test_33.txt, test_34.txt, test_35.txt, test_36.txt, test_37.txt, test_38.txt, test_39.txt, test_40.txt, test_41.txt, test_42.txt, test_43.txt, test_44.txt, test_45.txt, test_46.txt, test_47.txt, test_48.txt, test_49.txt, test_50.txt, test_51.txt, test_52.txt, test_53.txt, test_54.txt, test_55.txt, test_56.txt, test_57.txt, test_58.txt
Case Name Status Exec Time Memory
00_sample_01.txt AC 1 ms 256 KB
00_sample_02.txt AC 1 ms 256 KB
00_sample_03.txt AC 1 ms 256 KB
00_sample_04.txt AC 1 ms 256 KB
00_sample_05.txt AC 1 ms 256 KB
00_sample_06.txt AC 1 ms 256 KB
00_sample_07.txt AC 1 ms 256 KB
00_sample_08.txt AC 1 ms 256 KB
test_01.txt AC 1 ms 256 KB
test_02.txt AC 1 ms 256 KB
test_03.txt AC 1 ms 256 KB
test_04.txt AC 1 ms 256 KB
test_05.txt AC 1 ms 256 KB
test_06.txt AC 1 ms 256 KB
test_07.txt AC 1 ms 256 KB
test_08.txt AC 1 ms 256 KB
test_09.txt AC 1 ms 256 KB
test_10.txt AC 1 ms 256 KB
test_11.txt AC 1 ms 256 KB
test_12.txt AC 1 ms 256 KB
test_13.txt AC 1 ms 256 KB
test_14.txt AC 1 ms 256 KB
test_15.txt AC 1 ms 256 KB
test_16.txt AC 1 ms 256 KB
test_17.txt AC 1 ms 256 KB
test_18.txt AC 1 ms 256 KB
test_19.txt AC 1 ms 256 KB
test_20.txt AC 1 ms 256 KB
test_21.txt AC 1 ms 256 KB
test_22.txt AC 1 ms 256 KB
test_23.txt AC 1 ms 256 KB
test_24.txt AC 1 ms 256 KB
test_25.txt AC 1 ms 256 KB
test_26.txt AC 1 ms 256 KB
test_27.txt AC 1 ms 256 KB
test_28.txt AC 1 ms 256 KB
test_29.txt AC 1 ms 256 KB
test_30.txt AC 1 ms 256 KB
test_31.txt AC 1 ms 256 KB
test_32.txt AC 1 ms 256 KB
test_33.txt AC 1 ms 256 KB
test_34.txt AC 1 ms 256 KB
test_35.txt AC 1 ms 256 KB
test_36.txt AC 1 ms 256 KB
test_37.txt AC 1 ms 256 KB
test_38.txt AC 1 ms 256 KB
test_39.txt AC 1 ms 256 KB
test_40.txt AC 1 ms 256 KB
test_41.txt AC 1 ms 256 KB
test_42.txt AC 1 ms 256 KB
test_43.txt AC 1 ms 256 KB
test_44.txt AC 1 ms 256 KB
test_45.txt AC 1 ms 256 KB
test_46.txt AC 1 ms 256 KB
test_47.txt AC 1 ms 256 KB
test_48.txt AC 1 ms 256 KB
test_49.txt AC 1 ms 256 KB
test_50.txt AC 1 ms 256 KB
test_51.txt AC 1 ms 256 KB
test_52.txt AC 1 ms 256 KB
test_53.txt AC 1 ms 256 KB
test_54.txt AC 1 ms 256 KB
test_55.txt AC 1 ms 256 KB
test_56.txt AC 1 ms 256 KB
test_57.txt AC 1 ms 256 KB
test_58.txt AC 1 ms 256 KB